Embedded Computing for High Performance

Efficient Mapping of Computations Using Customization, Code Transformations and Compilation

Nonfiction, Computers, Programming, Parallel Programming, Advanced Computing, Parallel Processing, General Computing
Cover of the book Embedded Computing for High Performance by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz, Elsevier Science
View on Amazon View on AbeBooks View on Kobo View on B.Depository View on eBay View on Walmart
Author: João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz ISBN: 9780128041994
Publisher: Elsevier Science Publication: June 13, 2017
Imprint: Morgan Kaufmann Language: English
Author: João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
ISBN: 9780128041994
Publisher: Elsevier Science
Publication: June 13, 2017
Imprint: Morgan Kaufmann
Language: English

Embedded Computing for High Performance: Design Exploration and Customization Using High-level Compilation and Synthesis Tools provides a set of real-life example implementations that migrate traditional desktop systems to embedded systems. Working with popular hardware, including Xilinx and ARM, the book offers a comprehensive description of techniques for mapping computations expressed in programming languages such as C or MATLAB to high-performance embedded architectures consisting of multiple CPUs, GPUs, and reconfigurable hardware (FPGAs).

The authors demonstrate a domain-specific language (LARA) that facilitates retargeting to multiple computing systems using the same source code. In this way, users can decouple original application code from transformed code and enhance productivity and program portability.

After reading this book, engineers will understand the processes, methodologies, and best practices needed for the development of applications for high-performance embedded computing systems.

  • Focuses on maximizing performance while managing energy consumption in embedded systems
  • Explains how to retarget code for heterogeneous systems with GPUs and FPGAs
  • Demonstrates a domain-specific language that facilitates migrating and retargeting existing applications to modern systems
  • Includes downloadable slides, tools, and tutorials
View on Amazon View on AbeBooks View on Kobo View on B.Depository View on eBay View on Walmart

Embedded Computing for High Performance: Design Exploration and Customization Using High-level Compilation and Synthesis Tools provides a set of real-life example implementations that migrate traditional desktop systems to embedded systems. Working with popular hardware, including Xilinx and ARM, the book offers a comprehensive description of techniques for mapping computations expressed in programming languages such as C or MATLAB to high-performance embedded architectures consisting of multiple CPUs, GPUs, and reconfigurable hardware (FPGAs).

The authors demonstrate a domain-specific language (LARA) that facilitates retargeting to multiple computing systems using the same source code. In this way, users can decouple original application code from transformed code and enhance productivity and program portability.

After reading this book, engineers will understand the processes, methodologies, and best practices needed for the development of applications for high-performance embedded computing systems.

More books from Elsevier Science

Cover of the book Low Tech Hacking by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Philosophy of Biology by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Cell Culture by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Interpolation of Operators by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Drugs, Addiction, and the Brain by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Thiol Redox Transitions in Cell Signaling, Part B by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Intel Xeon Phi Processor High Performance Programming by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Visualizing RNA Dynamics in the Cell by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book IT Manager's Handbook: The Business Edition by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Recovery, Reframing, and Renewal by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Water Reclamation and Sustainability by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Principles of Environmental Physics by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Biofabrication by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Introduction to Agent-Based Economics by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
Cover of the book Handbook of the Economics of Population Aging by João Manuel Paiva Cardoso, José Gabriel de Figueiredo Coutinho, Pedro C. Diniz
We use our own "cookies" and third party cookies to improve services and to see statistical information. By using this website, you agree to our Privacy Policy